asynchronous logic - Definition. Was ist asynchronous logic
Diclib.com
Online-Wörterbuch

Was (wer) ist asynchronous logic - definition

DIGITAL CIRCUIT WITHOUT CLOCK CYCLES
Asynchronous logic; Asynchronous vlsi; Clockless Logic; Clockless computing; Clockless; Clockless processor; Asynchronous Processor; Clockless logic; NULL convention logic; Vennjunction; Clockless CPU; Asynchronous CPU; Four-phase handshake; Asynchronous design; Asynchronous computer
  • Illustration of two and four-phase handshakes. Top: A sender and a receiver are communicating with simple request and acknowledge signals. The sender drives the request line, and the receiver drives the acknowledge line. Middle: Timing diagram of two, two-phase communications. Bottom: Timing diagram of one, four-phase communication.
  • A 4-phase, bundled-data communication. Top: A sender and receiver are connected by data lines, a request line, and an acknowledge line. Bottom: Timing diagram of a bundled data communication. When the request line is low, the data is to be considered invalid and liable to change at any time.
  • Diagram of dual rail and 1-of-4 communications. Top: A sender and receiver are connected by data lines and an acknowledge line. Middle: Timing diagram of the sender communicating the values 0, 1, 2, and then 3 to the receiver with the 1-of-4 encoding. Bottom: Timing diagram of the sender communicating the same values to the receiver with the dual-rail encoding. For this particular data size, the dual rail encoding is the same as a 2x1-of-2 encoding.

asynchronous logic         
<architecture> A data-driven circuit design technique where, instead of the components sharing a common clock and exchanging data on clock edges, data is passed on as soon as it is available. This removes the need to distribute a common clock signal throughout the circuit with acceptable {clock skew}. It also helps to reduce power dissipation in CMOS circuits because gates only switch when they are doing useful work rather than on every clock edge. There are many kinds of asynchronous logic. Data signals may use either "dual rail encoding" or "data bundling". Each dual rail encoded Boolean is implemented as two wires. This allows the value and the timing information to be communicated for each data bit. Bundled data has one wire for each data bit and another for timing. Level sensitive circuits typically represent a logic one by a high voltage and a logic zero by a low voltage whereas transition signalling uses a change in the signal level to convey information. A speed independent design is tolerant to variations in gate speeds but not to propagation delays in wires; a delay insensitive circuit is tolerant to variations in wire delays as well. The purest form of circuit is delay-insensitive and uses dual-rail encoding with transition signalling. A transition on one wire indicates the arrival of a zero, a transition on the other the arrival of a one. The levels on the wires are of no significance. Such an approach enables the design of fully delay-insensitive circuits and automatic layout as the delays introduced by the layout compiler can't affect the functionality (only the performance). Level sensitive designs can use simpler, stateless logic gates but require a "return to zero" phase in each transition. http://cs.man.ac.uk/amulet/async/. (1995-01-18)
Asynchronous circuit         
Asynchronous circuit (clockless or self-timed circuit) is a sequential digital logic circuit that does not use a global clock circuit or signal generator to synchronize its components. Instead, the components are driven by a handshaking circuit which indicates a completion of a set of instructions.
Asynchronous method invocation         
MULTITHREAD DESIGN PATTERN WHERE THE CALLING THREAD IS NOTIFIED WHEN THE REPLY ARRIVES, THEREBY NOT BLOCKING THE CALL SITE WHILE WAITING FOR CALLED CODE TO FINISH
Asyncronious error reporting pattern; Asyncronous error reporting; Event-based Asychronous Pattern; Asynchronous error reporting; Event-based Asynchronous Pattern; Event-Based Asynchronous Pattern; The Task-based Asynchronous Pattern
In multithreaded computer programming, asynchronous method invocation (AMI), also known as asynchronous method calls or the asynchronous pattern is a design pattern in which the call site is not blocked while waiting for the called code to finish. Instead, the calling thread is notified when the reply arrives.

Wikipedia

Asynchronous circuit

Asynchronous circuit (clockless or self-timed circuit): Lecture 12  : 157–186  is a sequential digital logic circuit that does not use a global clock circuit or signal generator to synchronize its components.: 3–5  Instead, the components are driven by a handshaking circuit which indicates a completion of a set of instructions. Handshaking works by simple data transfer protocols.: 115  Many synchronous circuits were developed in early 1950s as part of bigger asynchronous systems (e.g. ORDVAC). Asynchronous circuits and theory surrounding is a part of several steps in integrated circuit design, a field of digital electronics engineering.

Asynchronous circuits are contrasted with synchronous circuits, in which changes to the signal values in the circuit are triggered by repetitive pulses called a clock signal. Most digital devices today use synchronous circuits. However asynchronous circuits have a potential to be much faster, have a lower level of power consumption, electromagnetic interference, and better modularity in large systems. Asynchronous circuits are an active area of research in digital logic design.

It was not until the 1990s when viability of the asynchronous circuits was shown by real-life commercial products.: 4